歡迎您訪問大连美恒机械设备有限公司官方網站!
熱線電(diàn)話(huà):13842610026 13795193430

半導體(tǐ)産業鏈深度研報:設備及材料

全球設備市場創新高,受益于制程進步、資(zī)本開(kāi)支提升2020年全球半導體(tǐ)設備市場規模創700億美元新高,大(dà)陸首次占比全球第一(yī)。

(報告出品方/作者:國盛證券,鄭震湘、陳永亮)

一(yī)、設備市場:大(dà)陸需求快速增長,國産替代提速

1.1、全球設備市場創新高,受益于制程進步、資(zī)本開(kāi)支提升 2020 年全球半導體(tǐ)設備市場規模創 700 億美元新高,大(dà)陸首次占比全球第一(yī)。根據 SEMI,2020 年半導體(tǐ)設備銷售額 712 億美元,同比增長 19%,全年銷售額創曆史新高。大(dà)陸設備市場在 2013年之前占全球比重爲 10%以内,2014~2017年提升至 10~20%, 2018 年之後保持在 20%以上,份額呈逐年上行趨勢。2020 年,國内晶圓廠投建、半導 體(tǐ)行業加大(dà)投入,大(dà)陸半導體(tǐ)設備市場規模首次在市場全球排首位,達到 181 億美元, 同比增長 35.1%,占比 26.2%。2021-2022年,存儲需求複蘇,韓國領跑全球,但大(dà)陸 設備市場規模仍将保持在約 160 億美元高位。


(報告出品方/作者:國盛證券,鄭震湘、陳永亮)

一(yī)、設備市場:大(dà)陸需求快速增長,國産替代提速

1.1、全球設備市場創新高,受益于制程進步、資(zī)本開(kāi)支提升 2020 年全球半導體(tǐ)設備市場規模創 700 億美元新高,大(dà)陸首次占比全球第一(yī)。根據 SEMI,2020 年半導體(tǐ)設備銷售額 712 億美元,同比增長 19%,全年銷售額創曆史新高。大(dà)陸設備市場在 2013年之前占全球比重爲 10%以内,2014~2017年提升至 10~20%, 2018 年之後保持在 20%以上,份額呈逐年上行趨勢。2020 年,國内晶圓廠投建、半導 體(tǐ)行業加大(dà)投入,大(dà)陸半導體(tǐ)設備市場規模首次在市場全球排首位,達到 181 億美元, 同比增長 35.1%,占比 26.2%。2021-2022年,存儲需求複蘇,韓國領跑全球,但大(dà)陸 設備市場規模仍将保持在約 160 億美元高位。



下(xià)遊資(zī)本開(kāi)支提升,半導體(tǐ)設備周期向上。伴随着下(xià)遊資(zī)本開(kāi)支提升,設備廠商(shāng)營業 收入增速從 2019Q2 觸底後逐漸回暖。2020Q1 由于疫情沖擊,産品發貨推遲,導緻單 季度收入增速下(xià)調。以 ASML 爲例,疫情後營收增速恢複,2021Q1 半導體(tǐ)設備營收增 速更是達到 95.1%,ASML 表示下(xià)遊對于先進的光刻設備需求有增無減。


未來兩年全球晶圓廠設備開(kāi)支持續增長。疫情對全球半導體(tǐ)行業帶來深遠影響。需求 端,居家及遠程辦公帶來筆電(diàn)等消費(fèi)電(diàn)子需求激增,此外(wài)全球正步入第四輪矽含量提升 周期,服務器、汽車(chē)、工(gōng)業、物(wù)聯網等需求大(dà)規模提升。供給端,全球晶圓廠 2015- 2019 年産能投資(zī)(不含存儲)尤其是成熟制程擴産不足,疫情短期導緻供應鏈中(zhōng)斷, 及地緣政治不确定性加劇供需失衡。2020 年開(kāi)始,全球領先的晶圓廠紛紛加速擴産提 升資(zī)本開(kāi)支,預計未來兩年将進行大(dà)規模的半導體(tǐ)設備投資(zī),2021、2022 年晶圓廠前 道設備支出将保持 16%、12%的同比增速。

Capex 進入上行期,台積電(diàn)、中(zhōng)芯國際紛紛增加資(zī)本開(kāi)支。台積電(diàn)從 2020 年 170 億美 金增長到 300 億美金(用于 N3/N5/N7 的資(zī)本開(kāi)支占 80%),再到 2021 年 4 月 1 日公 布的未來三年資(zī)本開(kāi)支 1000 億美金;聯電(diàn)從 2020 年 10 億美金增長到 23 億美金(用 于的 12 寸晶圓的資(zī)本支出占 85%);華虹從 2020 年 11 億美金增長到 2021 年 13.5 億 美金(大(dà)部分(fēn)用于華虹無錫 12 寸);中(zhōng)芯國際 2021 年資(zī)本維持高位,達到 43 億美金 (大(dà)部分(fēn)用于擴成熟制程,尤其是 8 寸數量擴 4.5 萬片/月),開(kāi)啓新一(yī)輪資(zī)本開(kāi)支。




“芯拐點”、新制程、新産能推動需求。我(wǒ)們判斷本輪反轉首先來自于全球“芯”拐點, 行業向上;其次,先進制程帶來的資(zī)本開(kāi)支越來越重,7nm 投資(zī)在 100 億美元,研發 30 億美元;5~3nm 投資(zī)在 200 億美元;7nm 單位面積生(shēng)産成本跳升,較 14nm 直接翻 倍;并且,大(dà)陸晶圓廠投建帶動更多設備投資(zī)需求。


2020 全球半導體(tǐ)資(zī)本開(kāi)支恢複增長。根據 IC Insights,VLSI,預計代工(gōng) Capex 同比大(dà)幅增長 38%。2017 年存儲廠商(shāng)大(dà)幅資(zī)本開(kāi)支導緻 2018 年下(xià)半年開(kāi)始産能過剩,存儲 市場走低,目前存儲投資(zī)已經開(kāi)始全面恢複,預計2021年存儲Capex同比增長約5%。

1.2、前道設備占主要部分(fēn),測試需求增速最快

前道設備占主要部分(fēn)。設備投資(zī)一(yī)般占比 70~80%,當制程到 16/14nm 時,設備投資(zī) 占比達 85%;7nm 及以下(xià)占比将更高。按工(gōng)藝流程分(fēn)類,典型的産線上前道、封裝、 測試三類設備分(fēn)别占 85%、6%、9%。



測試需求增長更快。半導體(tǐ)設備 2013~2018 年複合增長率爲 15%,前道、封裝、測試分(fēn)别爲 15%、11%、16%。增速最快的子項目分(fēn)别爲刻蝕設備(CAGR 24%)和存儲 測試設備(CAGR 27%)。




1.3、全球市場受海外(wài)廠商(shāng)主導,前五大(dà)廠商(shāng)市占率較高

全球設備五強占市場主導角色。全球設備格局競争,主要前道工(gōng)藝(刻蝕、沉積、塗膠、 熱處理、清洗等)整合成三強 AMAT、LAM、TEL。另外(wài),光刻機龍頭 ASML 市占率 80%+;過程控制龍頭 KLA 市占率 50%。根據 VLSI,ASML、AMAT、LAM Research、 TEL、KLA 五大(dà)廠商(shāng) 2020 年半導體(tǐ)設備收入合計 550 億美元,占全球市場約 71%。

綜合看下(xià)來,設備五強市場在各賽道合計市占率基本在 50%以上。AMSL 優勢在光刻 方面遙遙領先;AMAT 優勢在産品線廣,沉積(CVD、PVD)市占率高;LAM 優勢在刻蝕領域;TEL 優勢在小(xiǎo)賽道如塗膠、去(qù)膠、熱處理;KLA 優勢在過程控制。

1.4、國内需求爆發,國産替代空間快速打開(kāi)

國内晶圓廠投資(zī)金額即将進入高峰期。根據統計,2020~2022 年國内晶圓廠總投資(zī)金 額約 1500/1400/1200 億元,其中(zhōng)内資(zī)晶圓廠投資(zī)金額約 1000/1200/1100 億元。2020~2022 年國内晶圓廠投資(zī)額将是曆史上最高的三年,且未來還有新增項目的可能。



設備國産化率較低,海外(wài)龍頭壟斷性較高。我(wǒ)國半導體(tǐ)設備市場仍非常依賴進口,從 市場格局來看,細分(fēn)市場均有較高集中(zhōng)度,主要參與廠商(shāng)一(yī)般不超過 5 家,top3份額往 往高于 90%,部分(fēn)設備甚至出現一(yī)家獨大(dà)的情況,目前國内廠商(shāng)目标市場主要是國内 晶圓廠需求,尤其是内資(zī)投建的需求。

國内國産化逐漸起航,從 0 到 1 的過程基本完成。中(zhōng)微公司介質刻蝕機已經打入 5nm 制程。北(běi)方華創矽刻蝕進入 SMIC 28nm 生(shēng)産線量産。Mattson(屹唐半導體(tǐ))在去(qù)膠設 備市占率全球第二。盛美半導體(tǐ)單片清洗機在海力士、長存、SMIC 等産線量産。沈陽 拓荊 PECVD 打入 SMIC、華力微 28nm 生(shēng)産線量産,2018 年 ALD 通過客戶 14nm 工(gōng)藝 驗證。精測電(diàn)子、上海睿勵在測量領域突破國外(wài)壟斷。

制程越高,設備投資(zī)額占比越高。設備投資(zī)一(yī)般占比 70~80%,當制程到 16/14nm 時, 設備投資(zī)占比達 85%;7nm 及以下(xià)占比将更高。光刻、刻蝕、沉積、過程控制、熱處 理等均是重要投資(zī)環節。



設備國産化率較低,海外(wài)龍頭壟斷性較高。我(wǒ)國半導體(tǐ)設備市場仍非常依賴進口,目 前國内廠商(shāng)目标市場主要是國内晶圓廠需求,尤其是内資(zī)投建的需求,潛在收入目标空 間較大(dà)。

1.5、2021Q1 國産設備廠商(shāng)高速增長

2020Q4 及 2021Q1 設備收入、利潤快速增長,國産替代持續深化。設備行業核心公 司(中(zhōng)微公司、北(běi)方華創、至純科技、精測電(diàn)子、長川科技、晶盛機電(diàn)、華峰測控、萬 業企業)2020Q4 營業收入 37 億元,同比增長 33%;歸母淨利潤 5.55 億元,同比增長 49%。設備行業核心公司 2021Q1 營業收入 42.05 億元,同比增長 27%;歸母淨利潤 7.6 億元,同比增長 37%、設備行業持續處于高速增長,國産替代空間快速打開(kāi),國内 核心設備公司成長可期。



設備廠商(shāng)在手訂單充足,合同負債保持較高增速。2020Q4/2021Q1,設備闆塊主要公 司合同負債合計分(fēn)别爲 62.0/77.5 億元,同比增長 67%/62%,保持較高增速。其中(zhōng), 北(běi)方華創 2020Q4/2021Q1 合同負債分(fēn)别達到 30.5/44.9 億元,同比增長 107%/70%。

大(dà)陸 12 寸晶圓廠建廠潮帶動設備需求持續增長。生(shēng)産效率及降低成本因素推動下(xià),全 球 8 寸擴産放(fàng)緩,12 寸晶圓廠擴産如火(huǒ)如荼。2020 年以來,國内 12 寸晶圓廠遍地開(kāi) 花,除中(zhōng)芯國際外(wài),聞泰、格科微、海芯等公司紛紛計劃建設 12 寸晶圓廠,粵芯半導 體(tǐ)、華虹無錫等 12 英寸生(shēng)産線陸續建成投産。根據 SEMI,2019 年至 2024 年,全球至少新增 38 個 12 寸晶圓廠,其中(zhōng)中(zhōng)國台灣 11 個,中(zhōng)國大(dà)陸 8 個,到 2024 年,中(zhōng)國 12 寸晶圓産能将占全球約 20%。大(dà)量晶圓廠的擴建、投産,将帶動對上遊半導體(tǐ)設備的 需求提升,更有望爲國産化設備打開(kāi)發展空間。

二、光刻機:半導體(tǐ)制程工(gōng)藝核心環節,将掩膜闆圖形縮小(xiǎo)

光刻是将掩膜闆上的圖形曝光至預塗了光刻膠的晶圓表面上。光刻膠(正膠)受到照 射的部分(fēn),将發生(shēng)化學變化,從而易溶于顯影液。

瑞利公式:CD=k1*(λ/NA)。CD 爲關鍵尺寸,爲了降低 CD,有三種方式:

(1)降低波 長λ;

(2)提高鏡頭的數值孔徑 NA;

(3)降低綜合因素 k1。

生(shēng)産參數:

(1)分(fēn)辨率:可達的最小(xiǎo)光刻圖形尺寸;

(2)套準精度:圖形尺寸在亞微 米數量級上,套刻誤差在特征尺寸 10%;

(3)産率:對給定掩膜闆,每小(xiǎo)時能曝光的 晶片數量。

方案升級:接觸式——接近式——步進式。

光源升級:1985 年之前,以 g 線(436nm)爲主,最小(xiǎo)線寬爲 1um 以上;1985 年以 後,出現少量i線(365nm)光刻機,最小(xiǎo)線寬0.5um;1990年開(kāi)始出現DUV光刻機, 最小(xiǎo)線寬爲 0.25um;踏入 21 世紀,193nm 的深紫外(wài)線開(kāi)始使用。

EUV 的采用利好光刻、過程控制(ASML、KLA)。根據 ASML,45K/M 的 logic 産能, 每一(yī)層需要一(yī)台EUV;100K/M 的 DRAM 産能,每一(yī)層需要 1.5~2 台 EUV。預估 TSMC N7 使用 7 層;N5 使用 14 層。ASML 預估 EUV 層數 10~20 層,目前工(gōng)藝總層數多達 400~600 層。

光刻機發展曆史,兩次技術分(fēn)水嶺奠定格局變化。2003~2004 年爲第一(yī)個分(fēn)水嶺:ASML 選擇浸潤式,Nikon 選擇 157nm。2010 年爲第二個分(fēn)水嶺:EUV 量産,差距拉大(dà)。

三、刻蝕設備:等離(lí)子刻蝕複雜(zá)程度高,且步驟逐漸增加

刻蝕是用化學、物(wù)理、化學物(wù)理結合的方法有選擇的去(qù)除(光刻膠)開(kāi)口下(xià)方的材料。 被刻蝕的材料包括矽、介質材料、金屬材料、光刻膠。刻蝕是與光刻相聯系的圖形化處 理工(gōng)藝。

濕法刻蝕:用液體(tǐ)化學劑去(qù)除襯底表面的材料。早期普遍使用,在 3um 以後由于線寬 控制、刻蝕方向性的局限,主要用幹法刻蝕。目前,濕法刻蝕仍用于特殊材料層的去(qù)除 和殘留物(wù)的清洗。

幹法刻蝕:常用等離(lí)子體(tǐ)刻蝕,也稱等離(lí)子體(tǐ)刻蝕,即把襯底暴露于氣态中(zhōng)産生(shēng)的等 離(lí)子,與暴露的表面材料發生(shēng)物(wù)理反應、化學反應。

刻蝕主要參數:刻蝕速率、均勻性、選擇比(對不同材料的刻蝕速率比)、刻蝕坡面 (各向異性、各向同性)

應用最廣泛的刻蝕設備是 ICP 與 CCP,技術發展方向是原子層刻蝕(ALE)。

CCP:能量高、精度低,主要用于介質材料刻蝕(形成上層線路)——諸如邏輯芯片的 栅側牆、硬掩膜刻蝕、中(zhōng)段的接觸孔刻蝕、後端的鑲嵌式和鋁墊刻蝕等,以及 3D 閃存 芯片工(gōng)藝(氮化矽/氧化矽)的深槽、深孔和連線接觸孔的刻蝕等。2015年20億美元, TEL、LAM 合計市占率達 80%以上。

ICP:能量低、精度高,主要用于矽刻蝕和金屬刻蝕(形成底層器件)——矽淺槽隔離(lí) (STI)、鍺(Ge)、多晶矽栅結構、金屬栅結構、應變矽(Strained-Si)、金屬導線、金 屬焊墊(Pad)、鑲嵌式刻蝕金屬硬掩模和多重成像技術中(zhōng)的多道刻蝕工(gōng)藝。

ALE:未來技術發展方向,能精确刻蝕到原子層(約 0.4nm),具有超高刻蝕選擇率。應用廣泛。

光刻技術中(zhōng)許多先進制程涉及多重圖形技術。即使是 EUV,波長爲 13.5nm,要實現 7nm的精度,仍需要依靠多重圖形技術,即多次刻蝕。因此制程升級,精度越高,需要 的刻蝕複雜(zá)度、步驟數量也在提升。

産業發展趨勢:

(1)0.13um 工(gōng)藝的銅互連技術出現時(300mm 時代),金屬刻蝕比例 下(xià)降,介質刻蝕的比例大(dà)幅上升。

(2)30nm 之後的,多重圖像技術、軟刻蝕應用的提 升,矽刻蝕(ICP)的占比快速提升。

(3)數十層的金屬互聯層(後道工(gōng)藝,BEOL), 精度一(yī)般在 20nm 以上的以 CCP 爲主;CMOS 核心器件(前道工(gōng)藝,FEOL)線寬比較 少,往往使用 20nm 以下(xià)的 ICP。

(4)EUV 在 foundry/DRAM 的采用,使得刻蝕步驟減 少;3D Nand 采用,使得刻蝕步驟增多,高深寬比刻蝕需求增多。



刻蝕設備市場在晶圓設備的比重不斷提升,2017 年成爲占比最高的設備,重要性不斷 增強。2011 年以來,刻蝕在晶圓設備的占比從 11%逐漸提升到 20%。刻蝕設備市場基 本是法刻蝕設備,其中(zhōng)介質刻蝕和矽/金屬刻蝕各占約一(yī)半。

四、薄膜設備:用于沉積物(wù)質,在設備市場占比較高

薄膜生(shēng)長:采用物(wù)理或化學方法使物(wù)質附着于襯底材料表面的過程,常見生(shēng)長物(wù)質包 括金屬、氧化物(wù)、氮化物(wù)等不同薄膜。根據工(gōng)作原理不同,薄膜沉積生(shēng)長設備可分(fēn)爲:物(wù)理氣相沉積(PVD)、化學氣相沉積(CVD)和外(wài)延三大(dà)類。

在半導體(tǐ)領域,薄膜主要分(fēn)給絕緣薄膜、金屬薄膜。大(dà)部分(fēn)絕緣薄膜使用 CVD,金屬薄 膜常用 PVD(主要是濺射)。

薄膜設備中(zhōng),CVD 使用越來越廣泛。2018 年晶圓設備市場,沉積設備占比爲 22%, CVD 占 15%,PVD 占 4%,其他還有 ECD、MOCVD、SOD、外(wài)延等。



CVD:用于沉積介質絕緣層、半導體(tǐ)材料、金屬薄膜。

(1)微米時代,化學氣相沉積 多采用常壓化學氣相沉積(APCVD)設備,結構簡單。

(2)亞微米時代,低壓化學氣 相沉積(LPCVD)成爲主流,提升薄膜均勻性、溝槽覆蓋填充能力。

(3)90nm 以後, 等離(lí)子增強化學氣相沉積(PECVD)扮演重要角色,等離(lí)子體(tǐ)作用下(xià),降低反應溫度, 提升薄膜純度,加強薄膜密度。

(4)45nm 以後,高介電(diàn)材料(High k)和金屬栅 (Metal Gate),引入原子層沉積(ALD)設備,膜層達到納米級别。——(1)高介電(diàn) 材料(High k)替代 SiO2,用于制備 MOS 器件的栅介質層,需要引入 ALD。(2)多晶 矽同步地被替代爲金屬栅(Matal Gate)電(diàn)極,也用 ALD 設備制備。

2018 年薄膜沉積設備達到 132 億美元,占晶圓設備約 22%。薄膜沉積中(zhōng) 84%是 CVD;CVD 中(zhōng) 82%是非管式 CVD;Nontube CVD 中(zhōng)最主流的設備是等離(lí)子體(tǐ) CVD、LPCVD、 ALD 等。

Non-Tube 市場前五強 AMAT(28%)、Lam(275)、TEL(18%)、Hitachi(11%)、 ASM(6%),都是半導體(tǐ)設備領域較強的綜合廠商(shāng)。高端領域 ALD 受壟斷由 ASM (29%)、TEL(27%)、Lam(20%)主導。

五、清洗設備:去(qù)除晶圓片表面雜(zá)質,各制程前後均需使用

清洗機是将晶圓表面上産生(shēng)的顆粒、有機物(wù)、自然氧化層、金屬雜(zá)質等污染物(wù)去(qù)除, 以獲得所需潔淨表面的工(gōng)藝設備。從工(gōng)藝應用上來說,清洗機目前已廣泛應用于集成電(diàn) 路制造工(gōng)藝中(zhōng)的成膜前/成膜後清洗、等離(lí)子刻蝕後清洗、離(lí)子注入後清洗、化學機械 抛光後的清洗和金屬沉積後清洗等各個環節。

升級方向:高效且無損。在過去(qù)的 25 年中(zhōng),随着制程升級,晶圓濕法清洗變得越來越 複雜(zá)和高效。清洗需要強力有效,還要減少對晶圓表面的損傷。

清潔步驟占半導體(tǐ)工(gōng)藝所有處理步驟 1/3,最多已經達到 200 次。幾乎所有制程的前 後都需要清洗環節。

六、過程控制:制造過程的準确性檢測

過程控制:每道制程工(gōng)藝後,都必須進行尺寸測量、缺陷檢測等,用于工(gōng)藝控制、良率 管理,要求快速、準确。

尺寸測量:測量關鍵尺寸(CD critical dimension)、膜厚度(thickness)、應力 (stress)、折射率(refractive index )、階梯覆蓋(step coverage)、接觸角度 (contact-angle)… 無圖形缺陷檢測:顆粒(particle)、殘留物(wù)(residue)、刮傷(scratch)、警惕原生(shēng)凹坑 (COP)等等。

有圖形缺陷檢測:短線(break)、線邊缺陷(bite)、橋接(bridge)、線形變化(Deformation)等等。

2019 年全球檢測、量測設備市場約 60 億美元,其中(zhōng)中(zhōng)國大(dà)陸市場 13 億美元。根據 TSMC 測算,随着制程微縮、3D 堆疊,測量、檢測設備未來有希望翻倍到 120億美元。KLA 在大(dà)多細分(fēn)領域具有明顯優勢,此外(wài) AMAT、Nano、ASML、Nova、Hitachi 也有所 布局。



七、測試設備:用于測試晶圓片及成品

半導體(tǐ)測試包括晶圓允收測試(WAT)、晶圓檢測(CP)、成品測試(FT) 。WAT 環 節涉及測試機、分(fēn)選機、探針台;CP 由測試機、探針台搭配完成;FT 涉及測試機、分(fēn) 選機搭配完成。

晶圓檢測(CP)是指在晶圓完成後進行封裝前,通過探針台和測試機的配合使用,對 晶圓上的裸芯片進行功能和電(diàn)參數測試。

成品測試(FT)是指芯片完成封裝後,通過分(fēn)選機和測試機的配合使用,對封裝完成 後的芯片進行功能和電(diàn)參數測試。

測試機行業面臨的測試任務日益複雜(zá),測試機的測試能力和配置需求都在提高。随着 集成電(diàn)路管腳數增多、測試時間增長,包括華峰測控在内的測試機企業越來越多地采用 多工(gōng)位并測的方案來降低測試時間,推出測試覆蓋面更廣、資(zī)源更多的測試設備,不斷 提高測試系統的可靠性和穩定性,以降低客戶平均到每顆器件的測試成本。

測試技術要求不斷提高。

測試産品技術發展趨勢主要包括:(1)并行測試數量和測試 速度的要求不斷提升;(2)功能模塊需求增加;(3)對測試精度的要求提升;(4)要 求使用通用化軟件開(kāi)發平台;(5)對數據分(fēn)析能力提升。

半導體(tǐ)測試設備市場呈現寡頭壟斷格局。集成電(diàn)路檢測在測試精度、速度、效率和可 靠性等方面要求高。全球先進測試設備制造技術基本掌握在美國、日本等集成電(diàn)路産業 發達國家廠商(shāng)手中(zhōng),市場格局呈現泰瑞達、愛德萬、科休、科利登等四家廠商(shāng)寡頭壟斷。各家廠商(shāng)在檢測設備側重點也有所區别,如泰瑞達(Teradyne)主要産品爲測試機,愛 德萬(Advantest)主要産品爲測試機和分(fēn)選機,科利登(Xcerra)主要産品爲測試機, 東京電(diàn)子(Tokyo Electron)主要産品爲探針台,北(běi)京華峰主要産品爲測試機,上海中(zhōng) 藝主要産品爲分(fēn)選機。愛德萬和泰瑞達在全球測試設備合計市場份額達到 70%以上。

全球半導體(tǐ)測試設備市場保持穩步增長,其中(zhōng)測試機占比最高。根據 VLSI,全球半導 體(tǐ)後道測試設備市場(含測試機、分(fēn)選機、探針台)規模約 50 億美元。檢測設備市場 空間大(dà),包括 CP 測試和 FT 測試在内的半導體(tǐ)測試設備占半導體(tǐ)設備市場空間 15%~20%。整個測試設備市場中(zhōng),測試機比重最高,分(fēn)選機與探針台相對較少。測試 機按測試對象包括模拟、混合、數字、SOC、存儲器測試機等市場。


随着國内封測廠陸續投入新産線,産能實現擴張,将持續帶動國内半導體(tǐ)測試設備市場 高速增長。根據 SEMI,2018 年國内集成電(diàn)路測試設備市場規模約 57.0 億元,集成電(diàn)路 測試機、分(fēn)選機和探針台分(fēn)别占比 63.1%、17.4%和 15.2%,其它設備占 4.3%。


國内半導體(tǐ)測試設備市場也由海外(wài)大(dà)廠主導。在測試設備細分(fēn)領域,目前國内市場仍 主要由美國泰瑞達(Teradyne)、日本愛德萬(Advantest)、美國安捷倫(Agilent)、美 國科利登(Xcerra)和美國科休(Cohu)等國際知(zhī)名企業所占據。這些廠商(shāng)也會通過設 立全資(zī)或合資(zī)子公司,推進大(dà)陸半導體(tǐ)測試市場的業務。

八、半導體(tǐ)材料:晶圓廠持續擴産,材料拐點已至

8.1、晶圓代工(gōng)擴産拉動材料需求持續增長

中(zhōng)遊代工(gōng)擴産疊加下(xià)遊需求激增推動半導體(tǐ)材料市場持續增長。從半導體(tǐ)材料來看, 至 2020 年全球市場規模在 539.0 億美元,較 2019 年同比增長 2.2%。從長期維度來看 半導體(tǐ)材料的市場一(yī)直随着全球半導體(tǐ)産業銷售而同步波動。而由于半導體(tǐ)芯片存在較 大(dà)的價格波動,但是作爲上遊原材料的價格相對較爲穩定,因此半導體(tǐ)材料可以被譽爲 半導體(tǐ)行業中(zhōng)的剔除價格方面最好的參考指标之一(yī)。

此外(wài)看到當前半導體(tǐ)市場由于 5G 時代到來,進而推動下(xià)遊電(diàn)子設備矽含量的大(dà)增,帶 來的半導體(tǐ)需求的快速增長,直接推動了各個晶圓廠商(shāng)的擴産規劃(台積電(diàn)、聯電(diàn)、華 虹、華潤微等)。而芯片的制造更是離(lí)不開(kāi)最上遊的材料環節,因此我(wǒ)們有望看到全球 以及中(zhōng)國半導體(tǐ)市場規模的飛速增長。



在 2019 年期間,整個半導體(tǐ)材料 521 億美元的市場規模之中(zhōng),半導體(tǐ)晶圓制造材料占 據了約 63%,達到了 328 億元。晶圓制造材料的持續增長也是源自于當前制造工(gōng)藝不 斷升級帶來的對于材料的更大(dà)的消耗所緻。


在半導體(tǐ)原材料領域,集成電(diàn)路技術發展到微納電(diàn)子制造的物(wù)理極限,單獨依靠特征尺 寸縮小(xiǎo)已不足以實現技術發展目标。新材料的引入以及相應的新材料技術與微納制造技 術相結合共同推動着集成電(diàn)路不斷發展。集成電(diàn)路制造工(gōng)藝用到元素已經從 12 種增加 到 61 種。伴随微納制造工(gōng)藝不斷發展,對材料的純度,納米精度尺寸控制、材料的功 能性等都提出了嚴苛的需求。

簡單來看,半導體(tǐ)制造所需要的材料主要分(fēn)布在一(yī)下(xià)四步之中(zhōng):

1. 摻雜(zá)/熱處理:濺射靶材,濕法化學品、化學氣體(tǐ),CMP 抛光墊和抛光液;

2. 蝕刻/清潔:掩模/光罩,濺射靶材,CMP 抛光墊和抛光液;

3. 沉積:化學氣體(tǐ),CMP 抛光墊和抛光液;

4. 光刻:掩模/光罩、光刻膠、光刻膠顯影液、熔劑、剝離(lí)劑。

半導體(tǐ)制造過程繁瑣且複雜(zá),對于的材料大(dà)類的設計也超過了 9 種。其中(zhōng)矽片的占比最 大(dà),達到了122億美元,37.3%;其次爲電(diàn)子特氣,市場規模約爲43億美元,13.2%;光掩模,光刻膠及其輔助材料分(fēn)别爲 41億美元和 40億美元,占比達到 12.5%和 12.2%。

在全球半導體(tǐ)材料的需求格局之中(zhōng),中(zhōng)國大(dà)陸從 2011 年的 10%的需求占比,至 2019 年已經達到占據全球需求總量的 16.7%,僅次于中(zhōng)國台灣(21.7%)及韓國(16.9%), 位列全球第二。随着整個半導體(tǐ)産業的持續增長,以及中(zhōng)國大(dà)陸不斷新建的代工(gōng)産能, 我(wǒ)們有望看到中(zhōng)國大(dà)陸半導體(tǐ)市場規模增速将會持續超越全球增速的同時,攀登至全球 需求第一(yī)的寶座。

我(wǒ)們選取代表性公司鼎龍股份、雅克科技、金宏氣體(tǐ)、滬矽産業、晶瑞股份、立昂微、 興森(sēn)科技、安集科技和彤程新材,2020 年電(diàn)子材料營收綜合約爲 62 億元人民币,考慮 到其他未收錄的非上市公司及上市公司,我(wǒ)們展開(kāi)樂觀假設:中(zhōng)國有着電(diàn)子半導體(tǐ)材 料營收規模 100 億人民币(更多的爲中(zhōng)低端産品,高端産品仍然在持續突破及替代), 在當前 539 億美元的全球市場之中(zhōng)也僅僅 3%不到的替代率;在中(zhōng)國所需的産值約 91.73 億美元(對應 17%的全球需求)的市場需求中(zhōng),也僅占了 16%,因此可以看 到中(zhōng)國無論是在中(zhōng)國市場或者全球市場之中(zhōng),均有着巨大(dà)的國産化空間。


半導體(tǐ)材料國産化率仍待轉化。根據集成電(diàn)路材料和零部件産業技術創新戰略聯盟的調 研數據,2016 年國内晶圓制造材料企業用于半導體(tǐ)制造的産品銷售收入僅 69.5 億元, 相對于國内晶圓制造材料市場需求的比例約 20%,國産化比例較低。

在國家産業政策大(dà)力扶持和國内半導體(tǐ)市場穩定增長等利好條件下(xià),特别是國家“02 專項”等專業化科研項目的培育下(xià),國内半導體(tǐ)材料領域将湧現更多具有國際競争力的 公司和産品,在更多關鍵半導體(tǐ)材料領域實現進口替代,打破國外(wài)廠商(shāng)的壟斷。

半導體(tǐ)芯片制造工(gōng)藝半導體(tǐ)将原始半導體(tǐ)材料轉變成半導體(tǐ)芯片,每個工(gōng)藝制程都需要 電(diàn)子化學品,半導體(tǐ)芯片造過就是物(wù)理和化學的反應過程,半導體(tǐ)材料的應用決定了摩 爾定律的持續推進,決定芯片是否将持續縮小(xiǎo)線寬。目前我(wǒ)國不同半導體(tǐ)制造材料的技 術水平不等,但整體(tǐ)與國外(wài)差距較大(dà),存在巨大(dà)的國産替代空間。

8.2、各類材料持續持續突破,業績佐證國産替代正式開(kāi)幕

随着半導體(tǐ)市場晶圓代工(gōng)的持續擴産,對于晶圓制造中(zhōng)不可缺失的基礎材料将會有着非 常大(dà)的需求拉動,而在此階段我(wǒ)們可以看到随着技術及工(gōng)藝的推進以及中(zhōng)國電(diàn)子産業鏈 逐步的完善,在材料領域已經開(kāi)始湧現出各類已經進入批量生(shēng)産及供應的廠商(shāng)。

除了以上我(wǒ)們節選的部分(fēn)半導體(tǐ)及電(diàn)子材料廠商(shāng)對于中(zhōng)國卡脖子關鍵材料的替代以外(wài), 還有衆多 A 股上市公司在努力的投入研發力量緻力于更多材料的國産化。無論是成本占 比最大(dà)的半導體(tǐ)矽片,再到被美國高度壟斷的CMP(抛光液及抛光墊)材料,均都實現 了一(yī)定的技術突破,在不同的實現果實的收獲。

九、光刻膠:産品逐步突破,國産替代已開(kāi)啓

光刻膠,目前做爲半導體(tǐ)生(shēng)産中(zhōng)光刻工(gōng)藝的核心材料,其主要工(gōng)作原理是:光刻工(gōng)藝利 用光刻膠對于各種特殊射線及輻射的反應原理,将事先制備在掩模上的圖形轉印到晶圓, 建立圖形的工(gōng)藝,使矽片表面曝光完成設計路的電(diàn)路圖,做到分(fēn)辨率清晰和定位無偏差 電(diàn)路,就如同建築物(wù)一(yī)樓的磚塊砌起來和二樓的磚塊要對準,疊加的層數越高,技術難 度大(dà)。

從光刻膠的發展曆程看,從 20 世紀 50 年代至今,光刻技術經曆了紫外(wài)全譜(300- 340nm),G線(436nm),I線(365nm),深紫外(wài)(Deep Ultraviolet,DUV,248nm和 193nm),以及目前最引人注目的極紫外(wài)(EUV,13.5nm)光刻,電(diàn)子束光刻等六個階 段,随着光刻技術發展,各曝光波長的光刻膠組分(fēn)(成膜樹(shù)脂、感光劑和添加劑等)也 随之變化。

光刻膠從功能上又(yòu)可分(fēn)爲正性及負性光刻膠:正性光刻膠之曝光部分(fēn)發生(shēng)光化學反應會 溶于顯影液,而未曝光部分(fēn)不溶于顯影液,仍然保留在襯底上,将與掩膜上相同的圖形 複制到襯底上;而負性光刻膠之曝光部分(fēn)因交聯固化而不溶于顯影液,而未曝光部分(fēn)溶 于顯影液,将與掩膜上相反的圖形複制到襯底上。

根據反應機理和顯影原理,可以将光刻膠分(fēn)爲正性光刻膠和負性光刻膠。正性光刻膠形 成的圖形與掩膜版(光罩)相同,負性光刻膠顯影時形成的圖形與掩膜版相反。根據感 光樹(shù)脂的化學結構,光刻膠可分(fēn)爲光聚合型,光分(fēn)解型和光交聯型。根據應用領域,光 刻膠可以分(fēn)爲 PCB 光刻膠、面闆光刻膠和半導體(tǐ)光刻膠。

行業壁壘高聳,研發能力要求極高,資(zī)金需求巨大(dà)。在上述我(wǒ)們也對衆多光刻膠進行 了簡單的分(fēn)類,但實際操作中(zhōng)由于各個客戶的産品的要求不同,對應的光刻膠的具體(tǐ)要 求将更會是千奇百怪。這一(yī)點将會直接導緻光刻膠企業在生(shēng)産制作光刻膠的時候需要具 備足夠的配方研發能力,對衆多國内仍在起步的廠商(shāng)無疑是個巨大(dà)的挑戰。另一(yī)方面由 于光刻膠最終需要應用在光刻機上,以 ASML 爲例,EUV 光刻機常年保持在 1 億歐元左右,248nm 的 KrF 光刻機也基本維持在一(yī)千萬歐元以上。

從光刻膠全球市場來看,根據 Cision 的統計,2019 年約有 91 億美元的市場規模,且至 2022 年預計将達到 105 億美元,實現複合增長 5%。而其中(zhōng)半導體(tǐ)、LCD、PCB 這三類 主要的應用場景分(fēn)别占據了市場空間的24.10%、26.6%、及24.5%,分(fēn)别對應2019年 的市場規模 22 億美元、24 億美元、及 22 億美元。




Cision 同時也統計了中(zhōng)國光刻膠市場的規模,在 2019 年約爲 88 億元人民币,至 2022 年預計将達到 117 億元人民币,實現複合增長 15%。如若我(wǒ)們根據全球光刻膠的應用 場景分(fēn)布來看,在中(zhōng)國大(dà)陸所需要的半導體(tǐ)、LCD、及PCB的市場需求分(fēn)别将達到21、 23、22 億元人民币。

248nm及以上高端光刻膠爲全球市場的主流。中(zhōng)國産業信息網的數據顯示,2019年中(zhōng) 國光刻膠市場規模在 176 億人民币,而其中(zhōng)半導體(tǐ)用光刻膠市場達到 20.7 億人民币;至 2020 年的預期,國内光刻膠市場有望達到 176 億人民币,而半導體(tǐ)用光刻膠則将達 到 25 億人民币,均将實現超過 10%的行業規模增長。而随着國内晶圓廠不斷擴産,以 及制程和工(gōng)藝的提高,有望在後續給光刻膠行業帶來更大(dà)的增量空間。

然而我(wǒ)們複盤過往中(zhōng)國半導體(tǐ)光刻膠市場規模來看,通過智研産業研究院的統計,在 2015 年中(zhōng)國半導體(tǐ)光刻膠市場規模僅爲 10 億元左右,至 2020 年已經成功提高至約 25 億人民币的市場規模。而其中(zhōng)的核心原因我(wǒ)們認爲是中(zhōng)國半導體(tǐ)晶圓代工(gōng)産業逐步完善, 晶圓廠産能持續增長帶來的市場增長。而随着未來中(zhōng)國内地将要興建更多的産能之時, 我(wǒ)們有望看到中(zhōng)國半導體(tǐ)光刻膠需求的持續高增長。



雖然中(zhōng)國市場增速巨大(dà),但是從産業端來看,全球共有 5 家主要的光刻膠生(shēng)産企業。其 中(zhōng),日本技術和生(shēng)産規模占絕對優勢。而其中(zhōng)在半導體(tǐ)光刻膠中(zhōng),占據主導位置的還是 以日美兩國爲主。

國産光刻膠份額:受益于半導體(tǐ)、顯示面闆、PCB 産業東移的趨勢,根據雅克科技,自 2011 年至今,光刻膠中(zhōng)國本土供應規模年華增長率達到 11%,高于全球平均 5%的增 速。根據智研咨詢,2019 年中(zhōng)國光刻膠市場本土企業銷售規模約 70 億元,全球占比約 10%,發展空間巨大(dà)。目前,中(zhōng)國本土光刻膠以 PCB 用光刻膠爲主,平闆顯示、半導 體(tǐ)用光刻膠供應量占比極低。中(zhōng)國半導體(tǐ)光刻膠的占比僅有 2%,LCD 僅爲 3%,而最 爲簡單 PCB 光刻膠占比高達 94%。


整體(tǐ)來看,全球光刻膠行業主要被 JSR、東京應化、羅門哈斯、信越化學、及富士合理 占據,前五大(dà)家占據了全球光刻膠領域的 86%;如若聚焦到全球半導體(tǐ)用光刻膠領域, 前六大(dà)家(主要以日本爲主)實現了對于市場的 87%的占據。

對于光刻膠中(zhōng)的 KrF、ArF、i 線、g 線,其市占率情況如下(xià),仍然是全球幾大(dà)龍頭形成 了寡頭壟斷之勢,而中(zhōng)國供應商(shāng)尚未登榜。

而半導體(tǐ)國産光刻膠的發展速度遠遠慢(màn)于其他産業,原因在于:

1、 光刻膠的驗證周期長。光刻膠批量測試的 過程需要占用晶圓廠機台的産線時間, 在産能緊張的時期測試時間将會被延長。測試的過程需要與光刻機、掩膜版及 半導體(tǐ)制程中(zhōng)的許多工(gōng)藝步驟配合,需要付出的成本極高。通常面闆光刻膠驗 證周期爲 1-2 年,半導體(tǐ)光刻膠驗證周期爲 2-3 年。但是驗證通過之後便會形成 長期供應關系,甚至在未來會推動企業之間的聯合研發。

2、 原材料成膜樹(shù)脂具有專利壁壘。樹(shù)脂的合成難度高,通常光刻膠廠商(shāng)在合成一(yī) 種樹(shù)脂後會申請相應的專利,目前樹(shù)脂結構上的專利主要被日本公司占據。

3、 光刻膠産品品類多,配方需要滿足差異化需求。根據産品需求來調配适合的樹(shù) 脂來滿足差異化需求對于光刻膠企業是一(yī)大(dà)難點,也是光刻膠制造商(shāng)最核心的 技術。

十、CMP:突破重圍,國産化啓動

CMP 化學機械抛光(
ChemicalMechanicalPolishing)工(gōng)藝是半導體(tǐ)制造過程中(zhōng)的關鍵流 程之一(yī),利用了磨損中(zhōng)的“軟磨硬”原理,即用較軟的材料來進行抛光以實現高質量的 表面抛光。通過化學的和機械的綜合作用,從而避免了由單純機械抛光造成的表面損傷 和由單純化學抛光易造成的抛光速度慢(màn)、表面平整度和抛光一(yī)緻性差等缺點。


至 2018 年市場抛光液和抛光墊市場分(fēn)别達到了 12.7 和 7.4 億美元,其中(zhōng)中(zhōng)國市場的需 求量大(dà)約爲全球市場容量的 16.7%,即對應市場規模爲:抛光液+抛光液=23 億人民币。


而随各類芯片的技術的進步,抛光步驟也随之增長,從而實現了抛光墊及抛光液用量市 場的持續增長。同時随着芯片制程的提高帶動的抛光材質技術要求的提升,以及整體(tǐ)半 導體(tǐ)芯片市場的複蘇,我(wǒ)們可以預期到未來 CMP 市場的量*量*價的多重提高。

目前市場上抛光墊目前主要被陶氏化學公司所壟斷,市場份額達到 90%左右,其他供 應商(shāng)還包括日本東麗、3M、中(zhōng)國台灣三方化學、卡博特等公司,合計份額在 10%左右。抛 光液方面,目前主要的供應商(shāng)包括日本 Fujimi、日本 HinomotoKenmazai,美國卡博特、 杜邦、Rodel、Eka、韓國 ACE 等公司,占據全球 90%以上的市場份額,國内這一(yī)市場 主要依賴進口,國内僅有部分(fēn)企業可以生(shēng)産,但也體(tǐ)現了國内逐步的技術突破,以及進 口替代市場的巨大(dà)。

十一(yī)、電(diàn)子特氣:需求空間大(dà),拉開(kāi)進口替代序幕

電(diàn)子特種氣體(tǐ)是集成電(diàn)路、顯示面闆、光伏能源、光纖光纜等電(diàn)子産業加工(gōng)制造過程中(zhōng) 不可或缺的關鍵材料,其市場規模保持高速發展。2010-2018 年,我(wǒ)國電(diàn)子特氣市場規 模複合增速達 15.3%,2018 年我(wǒ)國電(diàn)子特氣市場規模達 121.56 億元。其中(zhōng),半導體(tǐ)制 造用電(diàn)子特氣市場規模約 45 億元。根據前瞻産業研究院預測,2024 年我(wǒ)國電(diàn)子特種氣 體(tǐ)市場規模将達到 230 億元,2018-2024 年複合增速将達 11.2%。電(diàn)子特氣将爲中(zhōng)國新 興産業的發展注入新動力。


電(diàn)子特氣按照用途可分(fēn)爲蝕刻及清洗氣體(tǐ)、成膜氣體(tǐ)、摻雜(zá)氣體(tǐ)三大(dà)類。在半導體(tǐ)集成 電(diàn)路中(zhōng),電(diàn)子氣體(tǐ)主要應用于蝕刻、摻雜(zá)、CVD、清洗等。在晶圓制程中(zhōng)部分(fēn)工(gōng)藝涉及 氣體(tǐ)刻蝕工(gōng)藝的應用,主要涉及 CF4、NF3、HBr等;摻雜(zá)工(gōng)藝即将雜(zá)質摻入特定的半導 體(tǐ)區域中(zhōng)以改變半導體(tǐ)的電(diàn)學性質,需要用到三階氣體(tǐ) B2H6、BF3以及五階氣體(tǐ) PH3 、 AsH3 等;在矽片表面通過化學氣相沉積成膜(CVD)工(gōng)藝中(zhōng),主要涉及 SiH4、SiCl4、 WF6等。

在顯示面闆産業中(zhōng),在薄膜工(gōng)序中(zhōng)需要通過化學氣相沉積在玻璃基闆上沉積薄膜,需要 使用 SiH4、PH3、NF3 、NH3 等。在幹法蝕刻工(gōng)藝中(zhōng),需要在等離(lí)子氣态氛圍中(zhōng)選擇性 腐蝕基材,需要用到 SF6、HCl、Cl2 等;在 LED 産業中(zhōng),外(wài)延技術需要高純電(diàn)子特氣包 括高純砷烷、高純磷烷、高純氨氣,HCl和Cl2常常用做蝕刻氣;在太陽能光伏産業中(zhōng), 晶體(tǐ)矽電(diàn)池片生(shēng)産中(zhōng)的擴散工(gōng)藝需要用到 POCl3,減反射層等 PECVD 工(gōng)藝需要用到 SiH4、 NH3,蝕刻需要用到 CF4。薄膜太陽能電(diàn)池在沉積透明導電(diàn)膜工(gōng)序中(zhōng)需要用到 B2H6等。

三氟化氮(NF3)是目前應用最廣的電(diàn)子特氣,占全球電(diàn)子氣體(tǐ)産量約 50%。NF3 在鹵 化氮中(zhōng)最穩定,是一(yī)種強氧化劑。在離(lí)子蝕刻時裂解爲活性氟離(lí)子,氟離(lí)子對矽化合物(wù)、 鎢化合物(wù)有優異的蝕刻速率和選擇性。并且,三氟化氮在蝕刻時,蝕刻物(wù)表面不留任何 殘留物(wù),是良好的蝕刻、清洗劑。大(dà)量應用于半導體(tǐ)、液晶和薄膜太陽能電(diàn)池生(shēng)産工(gōng)藝 中(zhōng)。



兩個主要因素推進了我(wǒ)國電(diàn)子特氣的需求高速增長。首先,近年來電(diàn)子氣體(tǐ)下(xià)遊産業技 術快速更叠。例如,集成電(diàn)路領域晶圓尺寸從 6 寸、8 寸發展到 12 寸甚至 18 寸,制程 技術從 28nm 到 7nm;顯示面闆從 LCD 到剛性 OLED 再到柔性、可折疊 OLED 叠代;光 伏能源從晶體(tǐ)矽電(diàn)池片向薄膜電(diàn)池片發展等。下(xià)遊産業的快速叠代讓這些産業的關鍵性 材料電(diàn)子特氣的精細化程度持續提升。并且,由于全球半導體(tǐ)、顯示面闆等電(diàn)子産業鏈 不斷向亞洲、中(zhōng)國大(dà)陸地區轉移,近年來以集成電(diàn)路、顯示面闆爲主的電(diàn)子特氣需求快 速增長。我(wǒ)國集成電(diàn)路 2010-2018 年銷售額複合增速達 20.8%,對電(diàn)子特氣的需求帶 來了持續、強勁的拉動。


然而,目前我(wǒ)國電(diàn)子特氣進口依賴度高,進口替代潛力較大(dà)。随着我(wǒ)國半導體(tǐ)、顯示面 闆市場的快速擴張,包括電(diàn)子特氣在内的上遊原材料實現進口替代意義重大(dà)。目前我(wǒ)國 電(diàn)子特種氣體(tǐ)市場呈寡頭壟斷格局,2018 年外(wài)企占我(wǒ)國電(diàn)子氣體(tǐ)市場 88%份額。我(wǒ)國 電(diàn)子氣體(tǐ)領域目前的主要的外(wài)企包括美國空氣化工(gōng)集團、法國液化空氣集團、日本太陽 日酸株式會社、美國普萊克斯、德國林德集團。國内主要企業包括中(zhōng)船 718 所、昊華黎 明院等。目前我(wǒ)國電(diàn)子特氣企業産品供應仍較爲單一(yī),但在政策扶持及下(xià)遊需求的拉動 下(xià),我(wǒ)國電(diàn)子特氣企業體(tǐ)量、産品品種迅速發展,該領域進口替代已拉開(kāi)序幕。

根據 SEMI 預計,至 2020 年電(diàn)子特氣的市場規模将達到 43.7 億美元。2010-2018 年, 我(wǒ)國電(diàn)子特氣市場規模複合增速達 15.3%,2018 年我(wǒ)國電(diàn)子特氣市場規模達 121.56 億 元。其中(zhōng),半導體(tǐ)制造用電(diàn)子特氣市場規模約 45 億元。根據前瞻産業研究院預測, 2024 年我(wǒ)國電(diàn)子特種氣體(tǐ)市場規模将達到 230 億元。



根據 SEMI 的數據統計,2019 年全球晶圓制造用電(diàn)子氣體(tǐ)的市場規模在 42 億美元,而 全球晶圓出貨量面積在 2019 年爲 11,810 百萬平方英尺。我(wǒ)們對其進行簡單的彙率換算 (1 美元約等于 7人民币),以及面積的換算之上,可得出每一(yī)片 8 英寸晶圓,所需電(diàn)子 特氣的價值量約爲 125 元人民币。

制程升級提升氣體(tǐ)用量,中(zhōng)國大(dà)陸經原産擴産帶來更大(dà)的氣體(tǐ)需求。無論是邏輯電(diàn)路 還是存儲電(diàn)路,更先進的工(gōng)藝都需要在晶圓制造過程中(zhōng)消耗更大(dà)量氣體(tǐ)。同時中(zhōng)國内資(zī) 晶圓廠,例如長江存儲、合肥長鑫等均在擴産,産能的擴張将會帶來更大(dà)的材料需求。

十二、矽片:半導體(tǐ)制造重中(zhōng)之重

縱觀半導體(tǐ)矽片的技術演變曆程,可以看到從早在 20 世紀 70 年代,矽片的尺寸就逐步 的向着更大(dà)尺寸發展。截止至目前全球矽片市場最大(dà)的量産型矽片尺寸爲 300mm,也 即是所謂的“12 英寸矽片”。

根據目前 SEMI 對于全球各類半導體(tǐ)矽片的出貨量統計,我(wǒ)們也看到半導體(tǐ)市場對于 12 英寸矽片的需求及使用也是逐步增加。2011 年,200mm 半導體(tǐ)矽片市場占有率穩 定在 25-27%之間;2016 年至 2017 年,由于汽車(chē)電(diàn)子、智能手機用指紋芯片、液晶顯 示器市場需求快速增長,200mm 矽片出貨面積同比增長 14.68%;2018 年,200mm 矽 片出貨面積達到 3278.00 百萬平方英寸,同比增長 6.25%。2018 年,300mm 矽片和 200mm 矽片馳航份額分(fēn)别爲 63.31%和 26.34%,兩種尺寸矽片合計占比接近 90.00%。



而矽片之所以趨向于大(dà)尺寸,其主要原因是因爲單位晶圓生(shēng)産效率的提高。雖然生(shēng)産 大(dà)尺寸矽片所需要的設備、材料成本等均有所提高,但是考慮到自動化帶來的人工(gōng)費(fèi)用 的減少以及單片矽片的面積之大(dà),以 200mm(9 寸)和 300mm(12 寸)矽片進行比 較,12 英寸矽片的單位成本僅爲 9 英寸矽片的 70%~80%。

由于成本及良率,12 寸矽片仍爲主流,技術略有所停滞的當前,國内廠商(shāng)具備追趕及 替代的機會。但是由于随着矽片的直徑越大(dà),矽片結晶過程中(zhōng)的旋轉速度也需要與之匹 配的減小(xiǎo),即容易帶來由于旋轉速度不快、不穩定帶來的矽片晶格結構的缺陷,同時随 着直徑的擴大(dà),晶圓的邊緣之處更容易産生(shēng)翹曲的情況,從而帶來良率的降低,也意味 着生(shēng)産的成本的提高,因此目前全球的主流矽片的最大(dà)尺寸仍僅爲 12 英寸,但這也帶 給了國内廠商(shāng)追趕行業龍頭的機會。

由于半導體(tǐ)行業與全球宏觀形勢緊密相關,全球半導體(tǐ)矽片行業在 2009 年受經濟危機 影響,出貨量與銷售額均出現下(xià)滑;2010 年智能手機放(fàng)量增長,矽片行業大(dà)幅反彈;2011 年-2016 年,全球經濟複蘇但較爲低迷,矽片行業易随之低速發展;2017 年以來, 得益于半導體(tǐ)終端市場需求強勁,半導體(tǐ)市場規模不斷增長,于 2018 年突破百億美元 大(dà)關。至 2020 年全球半導體(tǐ)矽片的收入已經達到 112 億美元的規模,出貨量也達到了 12.41 億平方英寸。

2008 年至 2013 年,中(zhōng)國大(dà)陸半導體(tǐ)矽片市場發展趨勢與全球半導體(tǐ)矽片市場一(yī)緻。2014 年起,随着中(zhōng)國半導體(tǐ)制造生(shēng)産線投産、中(zhōng)國半導體(tǐ)制造技術的不斷進步與中(zhōng)國 半導體(tǐ)終端市場的飛速發展,中(zhōng)國大(dà)陸半導體(tǐ)矽片市場步入飛躍式發展階段。2016 年2018 年,中(zhōng)國半導體(tǐ)矽片銷售額從 5.00 億美元上升至 9.96 億美元,年均複合增長率高 達 41.17%。中(zhōng)國作爲全球最大(dà)的半導體(tǐ)終端市場,未來随着中(zhōng)國芯片制造産能的持續 擴張,中(zhōng)國半導體(tǐ)矽片市場的規模将繼續以高于全球市場的速度增長。

中(zhōng)國大(dà)陸僅有少數幾家企業具有 200mm 半導體(tǐ)矽片的生(shēng)産能力。2017 年以前, 300mm 半導體(tǐ)矽片幾乎全部依賴進口。2018 年,矽産業集團子公司上海新昇作爲中(zhōng)國 大(dà)陸率先實現 300mm 矽片規模化銷售的企業,打破了 300mm 半導體(tǐ)矽片國産化率幾乎爲0%的局面。

中(zhōng)國大(dà)陸僅有少數幾家企業具有 200mm 半導體(tǐ)矽片的生(shēng)産能力。2017 年以前, 300mm 半導體(tǐ)矽片幾乎全部依賴進口。2018 年,矽産業集團子公司上海新昇作爲中(zhōng)國 大(dà)陸率先實現 300mm 矽片規模化銷售的企業,打破了 300mm 半導體(tǐ)矽片國産化率幾 乎爲 0%的局面。

十三、濕電(diàn)子化學品:内資(zī)龍頭效應顯著

濕電(diàn)子化學品,也叫超淨高純試劑,爲微電(diàn)子、光電(diàn)子濕法工(gōng)藝制程中(zhōng)使用的各種電(diàn)子 化工(gōng)材料。主要用于半導體(tǐ)、太陽能矽片、LED 和平闆顯示等電(diàn)子元器件的清洗和蝕刻 等工(gōng)藝環節。按用途主要分(fēn)爲通用化學品和功能性化學品,其中(zhōng)通用化學品以高純溶劑 爲主,例如氧化氫、氫氟酸、硫酸、磷酸、鹽酸、硝酸等;功能性化學品指通過複配手 段達到特殊功能、滿足制造中(zhōng)特殊工(gōng)藝需求的配方類或複配類化學品,主要包括顯影液、 剝離(lí)液、清洗液、刻蝕液等。

濕電(diàn)子化學品目前廣泛應用在半導體(tǐ)、平闆顯示、太陽能電(diàn)池等多個領域,濕電(diàn)子化學 品在半導體(tǐ)晶圓制程中(zhōng)應用于晶圓清洗、刻蝕、顯影和洗滌去(qù)毛刺等工(gōng)藝,在晶圓領域 制造和封測領域應用分(fēn)布廣。國際半導體(tǐ)材料和設備組織(SEMI)制定了 5 個超純淨 試劑的國際分(fēn)類标準,應用領域的不同對超純淨試劑要求的等級也不同,半導體(tǐ)領域要 求的等級比平闆顯示和光伏太陽能電(diàn)池領域的要求高,基本集中(zhōng)在 SEMI3、G4 的水平, 我(wǒ)國的超純淨試劑研發水平與國際水平上遊差距,大(dà)多集中(zhōng)在 G2 的水平。

全球的濕電(diàn)子化學品市場大(dà)多被歐美和日本公司占據,其中(zhōng)歐美公司主要有 BASF、霍 尼韋爾、ATMI、杜邦、空氣産品公司,營收合計占比 37%左右;日本公司主要有關東 化學、三菱化學、京都化工(gōng)、住友化學、宇部興産、森(sēn)田化學等,營收合計占比 34% 左右;中(zhōng)國台灣地區和韓國公司主要有中(zhōng)國台灣東應化、中(zhōng)國台灣聯士電(diàn)子、鑫林科技、東友、東進 等,營收合計占比 17%左右。國内企業主要有浙江凱聖、湖北(běi)興福、上海新陽、蘇州 晶瑞、江化微、江陰潤瑪、杭州格仕達、貴州微頓品磷等,營收占全球市場 10%左右, 技術等級主要集中(zhōng)在 G2 以下(xià)僅有少部分(fēn)企業達到 G4 以上标準。

在衆多工(gōng)藝化學品企業中(zhōng),上海新陽已成爲先進封裝和傳統封裝行業所需電(diàn)鍍與清洗化 學品的主流供應商(shāng),其超純電(diàn)鍍硫酸銅電(diàn)鍍液已成功進入中(zhōng)芯國際、海力士的 28nm 大(dà) 馬士革工(gōng)藝制程,成爲 Baseline 産品,進入工(gōng)業化量産階段;湖北(běi)興福電(diàn)子材料有限公 司磷酸、浙江凱聖氟化學有限公司氫氟酸等也都在 8-12 英寸工(gōng)藝認證中(zhōng)取得較好效果, 即将投入量産應用。




文章轉載自微信公衆号:DT新材料

Copyright ©大连美恒机械设备有限公司   技術支持:青蔥科技   
熔射,熱噴塗,東北(běi)熱噴塗,大(dà)連熱噴塗,水輪機轉子噴塗,金屬表面工(gōng)程,等離(lí)子噴塗,零件恢複尺寸機械密封噴塗,溶射,噴塗硬質合金,噴塗氧化鉻,噴塗氧化鋁钛,火(huǒ)焰,虹冠,錦江,機械,設備,機加工(gōng)
13795193430
13842610026
返回頂部